ALU设计 verilog代写

参照“4ALU设计方案”“ALU Projec 图表更改.pdf”的功能和时序要求,完成以下工作:

  • 完成该ALUHDL设计,给出其HDL代码。变量命名请参照表1和表2
  • 给出其功能仿真策略;给出测试该ALUHDL代码;给出功能仿真结果。
  • 完成该ALU 逻辑综合,请以脚本.tcl形式给出你所加的设计约束条件和相应的综合结果。
  • 完成该ALU的版图综合(可选);完成该ALULVSDRC(可选);完成该ALU的后仿(可选)。

Leave a Reply

Your email address will not be published. Required fields are marked *